智研咨询 - 产业信息门户

2020年全球及中国半导体设备行业市场规模、投资规模及行业发展机遇分析[图]

    一、全球市场:2020年全球半导体设备市场有望回暖

    1、2018-2019年存储器供过于求投资削减致使设备市场遇冷

    半导体设备指半导体产品在制造和封测环节所要用到的所有机器设备,广义上也包括生产半导体原材料所需的机器设备。主要有:光刻机、刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、探针台等。根据半导体行业内“一代设备,一代工艺,一代产品”的经验,半导体产品制造要超前电子系统开发新一代工艺,而半导体设备要超前半导体产品制造开发新一代产品。因此半导体设备行业是半导体芯片制造的基石,擎起了整个现代电子信息产业,是半导体行业的基础和核心。

    根据国际货币基金组织测算,每1美元半导体芯片的产值可带动相关电子信息产业10美元产值,并带来100美元的GDP,这种价值链的放大效应奠定了半导体行业在国民经济中的重要地位。半导体与信息安全的发展进程息息相关,世界各国政府都将其视为国家的骨干产业,半导体产业的发展水平逐渐成为了国家综合实力的象征。

半导体设备支撑10倍大的芯片制造产业,对信息产业有成百上千倍的放大作用

数据来源:公开资料整理

    随着半导体行业的迅速发展,半导体产品的加工面积成倍缩小,复杂程度与日俱增,生产半导体产品所需的制造设备需要综合运用光学、物理、化学等科学技术,具有技术壁垒高、制造难度大及研发投入高等特点。半导体设备价值普遍较高,一条制造先进半导体产品的生产线投资中设备价值约占总投资规模的75%以上,半导体产业的发展衍生出巨大的设备需求市场。

    智研咨询发布的《2020-2026年中国半导体行业发展现状调查及投资发展潜力报告》显示:受资本开支削减影响,2019年全球半导体设备市场销售额同比下降10.8%。2019年全球半导体设备市场规模为576亿美元,受资本开支削减的影响,较2018年646亿美元下降10.8%。其中,中国台湾地区半导体设备市场规模156亿美元,占比27.0%,超越韩国成为全球最大的半导体设备市场;中国大陆市场规模129亿美元,占比22.4%,连续两年位居第二;韩国市场规模105亿美元,占比18.3%,因缩减资本支出下滑至第三。北美、日本、欧洲则分别以78、60、22亿美元的市场规模位居榜后。

2012-2021年全球半导体设备市场规模及增长情况(亿美元)

数据来源:公开资料整理

2019年全球半导体设备市场中国台湾居首(亿美元)

数据来源:公开资料整理

    中国台湾半导体设备市场规模同比大增53.3%,韩国大幅萎缩40.6%,中国基本持平。2019年,中国台湾半导体设备市场销售额同比增长53.3%,北美增长率其次,达33.4%;除中国台湾与北美外,全球其他地区市场规模都有不同程度的萎缩,其中韩国降幅最大,约40.6%,中国大陆微降1.5%。2017年,全球半导体市场受到智能手机及数据中心用存储器需求的拉动,实现了罕见的高增长,存储器厂商也不断增加投资以扩大产能;2018年下半年开始,全球存储器的供给量增加,智能手机和数据中心的半导体需求低迷,供过于求逐渐明显,各厂商开始调整增产计划。随着三星、SK等放缓投资,推迟产能扩充计划,2019年韩国半导体设备市场出现了较大幅度的下滑。受存储器市场放缓、贸易紧张等多种因素影响,SK、SMIC以及UMC等晶圆厂都放缓了在中国大陆市场的投资支出,导致2019年中国大陆设备市场小幅下滑。中国台湾代工厂受先进制造的拉动,在7nm、5nm及3nm等先进制程的资本支出加大,设备市场规模出现较大幅度增长,跃居至第一位。

    近5年半导体设备市场的增长主要由中国大陆、中国台湾以及韩国驱动。20142019年,全球半导体设备市场规模的CAGR为9.0%,其中中国大陆、中国台湾以及韩国半导体设备市场规模的CAGR依次为24.2%、10.6%以及9.0%,是驱动全球增长的主要动力。

2014-2019年半导体设备市场的增长主要由中国大陆、中国台湾及韩国驱动

数据来源:公开资料整理

    在整个半导体制造流程中,晶圆制造所使用的前道设备占比超过80%。半导体制造流程包括硅片制造、晶圆制造、封装测试三个主要环节, 2018年晶圆制造设备占比最高约81.5%、检测设备占8.3%、封装设备占6.0%、硅片制造及其他设备(如掩膜制造设备)占4.2%。

前道设备占半导体制造设备比例超过80%(2018年)

数据来源:公开资料整理

    全球半导体设备市场在2020年将逐渐回暖,并于2021年再创历史新高。自2019年10月至今,北美半导体设备出货额已连续4个月同比正增长,2020年1月实现增长22.9%,给出了半导体产业回暖的信号。此外,作为全球半导体设备主要供应地之一的日本,其半导体设备的进出口状况颇具代表性,2019年12月,日本半导体设备的出口额激增26%,为全球半导体需求的好转再添佐证。预计,2020年全球半导体设备销售额将同比增加5.5%,达到608亿美元;且此成长态势可望延续至2021年,创下668亿美元的历史新高。还预计,2020年中国台湾将维持全球第一大设备市场的位置,销售金额将达154亿美元,中国大陆以149亿美元居次,韩国则以103亿美元排名第三;展望2021年,中国大陆将以160亿美元的销售额跃升至全球第一大设备市场。

2020/01北美半导体设备出货额同比增长22.9%

数据来源:公开资料整理

    存储器厂及代工厂增加资本支出是拉动半导体设备市场规模增长的直接因素,5G、AI、IoT、云计算以及汽车电子等新兴领域对半导体产品的需求才是本质原因。全球半导体巨头三星电子、台积电、英特尔一致调高2020年的资本支出力度。台积电表示将2020年资本开支计划由原订的110亿美元上调至150-160亿美元;三星电子将在未来十年中投入1160亿美元推动其在逻辑芯片制造领域的扩张;英特尔将2020年的资本支出设定为170亿美元(+4.9%),不仅要增加现有14/10nm工艺的产能,还要使用一半以上的支出对下一代7/5nm工艺进行投资。三大半导体巨头增加资本支出固然是拉动半导体设备产业增长的直接因素,深层次的原因则是5G、AI、IoT、云计算以及汽车电子等新兴领域的崛起对先进工艺半导体产品产生了需求。

2020-2021年半导体设备市场规模预期增加

数据来源:公开资料整理

    2、竞争格局高度集中市场由海外厂商主导

    全球半导体设备产业高度集中,且“大者愈大”趋势明显。2019年,全球半导体制造设备市场规模576亿美元,其中前五大半导体设备厂合计实现销售收入456亿美元,市占率高达79.3%,前十大半导体设备厂合计实现销售收入544亿元,市占率达94.4%。国际半导体企业历经50年的发展,由全盛时期的数百家,通过并购整合等措施缩减至目前的数十家,细分领域的垄断程度越来越高,形成“大者愈大”的局面。

    全球主要半导体设备制造商主要集中在美国、日本、荷兰等国。从企业分布来看,全球知名的半导体设备制造商主要集中在美国、日本、荷兰等国家;从企业主要的半导体设备产品看,美国主要控制等离子刻蚀设备、离子注入机、薄膜沉积设备、掩膜版制造设备、检测设备、测试设备、表面处理设备等,日本则主要控制光刻机、刻蚀设备、单晶圆沉积设备、晶圆清洗设备、涂胶机/显影机、退火设备、检测设备、测试设备、氧化设备等,而荷兰则是凭借ASML的高端光刻机在全球处于领先地位。从半导体设备大厂2019年销售排名来看,应用材料(AppliedMaterials)凭借其沉积、刻蚀、离子注入以及CMP等多领域的技术优势继续保持领先;而阿斯麦(ASML)则依靠其在光刻设备领域的绝对领先优势,尤其是EUV设备,重回第二名;国内生产线已成为日本制造商的大客户,东京电子(TokyoElectron)凭借其在沉积、刻蚀以及匀胶显影设备等领域的竞争力,排名第三;泛林半导体(LamResearch)凭借其刻蚀、沉积以及清洗设备的表现,排名第四;科磊(KLA)依靠其检测、量测设备排名第五。

全球半导体设备市场由海外厂商主导(收入TOP10厂商排名,百万美元)

数据来源:公开资料整理

    保持创新能力、持续研发投入、择机外延并购以及全球范围整合优质资源,是国际主流半导体设备厂商保持竞争力的主要手段。纵观国际半导体设备产业的发展可以看出,国际主流半导体设备厂商保持其强者地位的主要途径有以下几点:1)大比例研发投入,持续创新。随着摩尔定律演进,半导体制造工艺节点对设备行业更新换代和技术进步不断提出更高的要求。设备厂商需要持续大比例的研发投入,推动创新以保持技术领先,从而确保其在设备产业的竞争力;2)并购整合,加速企业发展。并购整合在半导体设备产业中的表现日趋突出,也是各大设备厂商得以实现快速成长、提升竞争力的重要手段;3)非核心业务外包,整合全球优质资源。将非核心业务外包给在领域或环节中具有更专业技能的独立厂商,只保留核心价值创造活动的经营模式已成为一种趋势。

    二、中国市场:市场规模全球第二本土企业崛起可期

    1、市场规模近千亿自给能力有限

    中国大陆的半导体设备需求量大,但自给率低。2010年以来,中国半导体制造的规模发展迅猛,对设备的需求不断增长,但本土设备配套能力不足的弊端也日益突出。2018年,中国半导体设备需求激增,同比增长58.9%,超过全球设备产业增长速度的4倍;2019年,在整个半导体产业萎缩,全球半导体设备销售额下降10.8%的大背景下,中国半导体设备市场需求仍然基本持平。2018年国产半导体设备企业实现销售额109亿元,自给率仅约13%。

2012-2021年中国半导体设备需求量增长迅猛(亿美元)

数据来源:公开资料整理

2013-2018年国产半导体设备自给率低(亿元)

数据来源:公开资料整理

    2、中国各类设备市场规模预测:硅片、晶圆产能兴建将拉动设备市场增长

    半导体制造流程主要包括硅片制造、晶圆制造、封装测试三个主要环节,在成熟市场中,晶圆制造设备占比约80%,检测、封装、硅片制造及其他(如掩膜制造)设备占比依次约为8%、6%、3%以及3%。

硅片制造设备
是指将半导体级硅制造成一定直径和长度的单晶硅棒材,再经过一系列的机械加工、化学处理等工艺流程,制造成具有一定几何精度要求和表面质量要求的硅片/外延硅片,为晶圆制造提供所需衬底的设备,主要包括单晶炉、切割机、磨片机、刻蚀机、抛光机、清洗机以及检测设备等。
晶圆制造设备
是指在硅片上加工制作各种电路元件结构,使之最终形成具有特定电性功能所用到的设备,主要包括光刻机、刻蚀机、薄膜沉积设备、离子注入设备、热处理设备、清洗机、抛光机以及检测设备等。
封装设备
是指将晶圆裸片装配为芯片过程中所使用到的设备,包括晶圆减薄机、切割机、黏片机、引线键合机等设备。
测试设备
是指在整个生产过程中或几道关键工序后,对硅片或晶圆的质量、性能进行量检测的设备,主要包括厚度仪、颗粒检测仪、硅片分选仪以及ATE等。

数据来源:公开资料整理

IC制造产业链中硅片制造/芯片制造/封装测试环节均用到相关设备

数据来源:公开资料整理

    1)、硅片制造设备:受益大硅片产能建设

    预计硅片缺货2021年才能缓解,全球对12英寸硅片的需求强劲。2018年,全球硅片出货量达127亿平方英尺,2019H1实现出货量60亿平方英尺。受益于近年来智能手机、IoT、人工智能等产业的快速发展,各类集成电路产品需求不断增长,上游硅片材料的市场需求也随之增加。2013-2018年,全球硅片出货量稳定增长,CAGR约为7.0%。2019H1,受半导体行业整体景气度不佳的影响,全球硅片出货量下降3.4%。全球硅片生产厂商集中度高,TOP5厂商(日本信越、日本SUMCO、中国台湾GlobalWafer、德国Siltronic和韩国LGSiltron)占据硅片市场94%的份额,在12英寸硅片领域的份额更是高达97.8%。当前8英寸及12英寸是硅片的主流尺寸,按出货面积,两者分别占据总出货面积的26.34%及63.31%,合计近90%。预计半导体硅片的缺货情况要到2021年才能缓解,其中12英寸硅片的需求最为强劲。

2014-2019年全球硅片出货量稳定增长(亿平方英尺)

数据来源:公开资料整理

全球硅片生产厂商集中度高

数据来源:公开资料整理

    为改变我国大硅片严重依赖进口的形势,多项8英寸/12英寸硅片项目正在启动中,必将带动国内硅片制造设备生厂商的快速发展。我国硅片产能集中在6英寸及以下,4-6英寸硅片基本可以满足需求,大硅片的生产牢牢掌控在海外厂家手中,目前12英寸硅片几乎全部依靠进口。随着国内晶圆厂的陆续建成,大硅片的紧缺情况将更加明显。为弥补半导体硅片的供应缺口,降低进口依赖程度,我国正积极迈向8英寸与12英寸硅片生产,多项重大投资正在启动中。截至2019H1宣布的12英寸硅片建设项目多达20个,总投资金额超过1400亿,规划产能至2023年前后将达650万片/月,若加上天芯硅片、中芯环球、济南项目,规划产能将达800万片/月,约是2018年全球需求的2倍。随着大硅片项目投资的持续推进,国产硅片制造设备厂商必将迎来快速发展的机遇。

中国大陆兴建8/12英寸大硅片项目

项目名称
总投资额(亿元)
8英寸(万片/月)
12英寸(万片/月)
上海新昇
68
-
60
超硅上海
100
-
30
超硅重庆
50
50
5
超硅成都
50
-
50
天津领先
-
30
2
中环领先无锡一期
100
75
15
中环领先无锡二期
100
-
35
金瑞泓
-
12
金瑞泓衢州
50
40
10
金瑞泓微电子
83
-
30
有研德州
80
23
30
杭州中芯
60
35
20
宁夏银和一期
31
15
宁夏银和二期
60
35
20
合晶郑州
57
20
20
安徽易芯
30
-
15
奕斯伟西安
110
-
50
四川经略
50
10
40
启世半导体
200
-
120
中晶嘉兴
110
-
100
睿芯晶
20
-
10
合计
1409
345
662

数据来源:公开资料整理

    预计2019-2020年,国内将新增硅片制造设备市场规模95(保守)/399(乐观)/247(中性)亿元。截至2018年底,中国12英寸晶圆制造厂装机产能60万片/月,8英寸晶圆晶制造厂装机产能90万片/月。预计2020年8英寸、12英寸硅片需求分别可达96.5万片/月、105万片/月;供给方面,预计2020年8英寸、12英寸硅片新增产能分别可达168万片/月(其中23万片在2018年建成)、145万片/月,若国内大硅片产能建设计划顺利推进,2020年国内8英寸12英寸硅片产能将覆盖国内需求。以满足2020年对大硅片的需求量为保守预测标准,以截至2020年的新建产能作为乐观预测标准(若硅片项目进展顺利,2020年供给足以覆盖需求,因此将其作为乐观预测标准),以二者的均值作为中性预测,则2019-2020年国内将新增硅片制造设备市场规模95(保守)/399(乐观)/247(中性)亿元。硅片制造设备总市场规模、各类型设备的细分市场规模计算过程如下。

测算2019-2020年国内将新增硅片制造设备市场规模95(保守)/399(乐观)/247(中性)亿元

硅片类型
项目
2018
2020(保守)
2020(乐观)
2020(中性)
12英寸
产能需求(万片)
60
105
-
-
新建产能(万片)
-
45
145
良品率
-
60%
60%
-
每万片设备投资额(亿元)
-
1.2
1.2
新增市场规模(亿元)
-
90
290
190
8英寸
产能需求(万片)
90
96.5
-
-
新建产能(万片)
-
6.5
145
良品率
-
80%
80%
-
每万片设备投资额(亿元)
-
0.6
0.6
新增市场规模(亿元)
-
5
109
57
合计
新增市场规模(亿元)
-
95
399
247

 

数据来源:公开资料整理

    注:1)因计算区间为2019-2020年,8寸线23万片在2018年已建成,从“新建产能”中扣除;2)2020(乐观)预计结果357亿元非12英寸与8英寸市场规模之和,是因后两者取四舍五入数值所致

各类型硅片制造设备新增需求占比测算

数据来源:公开资料整理

2019-2020年各类型硅片制造设备新增市场规模测算

数据来源:公开资料整理

    2.)、半导体晶圆制造设备市场规模及投资规模分析预测

    预计,2017-2020年间全球投产的半导体晶圆厂为62座,其中26座设于中国,占全球总数42%。近年来全球各大集成电路企业,如英特尔、三星、格罗方德、IBM等已陆续在中国大陆建设工厂向中国转移产能;中芯国际、长江存储旗下武汉新芯、台积电、晋华集成等都已在内地多个城市布局12寸晶圆厂。预计到2018-2021年中国大陆12寸半导体设备的市场空间约为6000亿元(1130+2026+2853,测算过程如图9-图10),如考虑大陆12寸晶圆厂开工、投产时间及进度和每年投产的产线所对应的投资总额(设备投资总额分为三部分计入未来三年的实际投资额中,当年20%、第二年40%、第三年40%),经过测算预测2018年中国大陆晶圆投资空间为1130亿元,同比增长60%,2019年为2026亿元,同比增长78.8%,2020年为2853亿元,同比增40%。依上预测2020年厂务端对应洁净系统市场空间约为85亿,设备端对应洁净系统空间为约37亿,合计国内半导体领域组件设备市场空间约为122亿元。

集成电路晶圆制造投资比例估测

数据来源:公开资料整理

集成电路晶圆制造设备投资比例估测

数据来源:公开资料整理

集成电路晶圆制造厂房投资比例估测

数据来源:公开资料整理

    2017-2020年中国大陆大举兴建晶圆厂,多条产线将陆续进入设备采购高峰期。2017-2020年全球将有62座新晶圆厂投产,其中将有26座新晶圆厂座落中国,占比达到42%。一条新建产线最大的资本支出来自于半导体设备,资本支出占比高达80%,而厂房建设占比仅20%。未来两年,大陆存储器/晶圆厂产线将陆续进入设备采购高峰期,本土晶圆制造设备厂商迎来加速获取订单的重要时期。

中国大陆FAB厂兴建多条晶圆生产线

状态
项目名称
晶圆尺寸
产能(千片/月)
投资
投产
SK海力士半导体(中国)有限公司
12英寸
80
86亿美元
中芯国际集成电路制造(天津)有限公司二期
8英寸
100
15亿美元
在建
中芯南方集成电路制造有限公司
12英寸
35
102.4亿美元
华虹半导体(无锡)有限公司一期
12英寸
40
25亿美元
三星(中国)半导体有限公司二期一阶段
12英寸
80
70亿美元
广州粤芯半导体技术有限公司
12英寸
40
70亿元
上海积塔半导体有限公司
12英寸
50
359亿美元
上海积塔半导体有限公司
8英寸
60
-
上海积塔半导体有限公司(碳化硅)
6英寸
-
江苏时代芯存半导体有限公司
12英寸
10
43亿元
重庆万国半导体科技有限公司
12英寸
20
塞莱克斯微系统科技(北京)有限公司
8英寸
30
中芯集成电路制造(绍兴)有限公司
8英寸
-
58.8亿元
海辰半导体(无锡)有限公司
8英寸
100
67.9亿
中芯集成电路(宁波)有限公司二期
8英寸
30
39.9亿元
规划
济南富能半导体有限公司
8英寸
30
50亿元
华润微电子重庆项目
12英寸
-
-
矽力杰半导体青岛项目
12英寸
40
180亿元
吉林华微电子股份有限公司
12英寸
20
10亿
四川中科晶芯集成电路制造有限公司
8英寸
-
-

数据来源:公开资料整理

    预计2020/2021年晶圆制造设备市场规模接近千亿元。晶圆制造环节是生产链条里最重资产的一环,晶圆制造设备投入占总设备投入的80%左右。数据显示:在晶圆加工设备投资中,光刻机投资占比最高达到30%,其次为CVD约占20%,排名第三的是PVD占比15%,其后分别为刻蚀、氧化扩散炉、RTP、离子注入、剥离、抛光等设备。另外,检测、封装设备投入占总设备比例分别约为8%及6%。预测2020/2021年中国半导体设备销售额将达149/164亿美元,若按上述比例估算,晶圆制造、检测、封装设备的市场规模将依次达到119/131亿美元(831/916亿元)、12/13亿美元(84/91亿元)以及9/10亿美元(63/70亿元)。

测算2020/2021年晶圆制造、检测、封装设备将分别新增市场规模831/916亿元、84/91亿元、63/70亿元

数据来源:公开资料整理

中国大陆半导体设备投资额测算(单位:亿元人民币)

年份
新增投资总额
用于设备的新增投资总额
新增月产能(k/月)
实际新增产能(k/月)
实际设备投资额
实际晶圆厂投资额(含厂房)
2016
735.0
588.0
90
18+
117.6+
-
2017
418.8
335.0
115
59+
302.2+
-
2018
2999.0
2399.2
385
159
849.1
1130
2019
2667.0
2133.6
450
290
1520.4
2026
2020
2040.0
1632.0
400
414
2139.5
2853
2021
542.0
433.6
130
366
1593.0
-

数据来源:公开资料整理

    三、硅片制造设备:中性预测年均124亿元市场规模

    半导体硅片的生产流程包括拉晶—>整型—>切片—>倒角—>研磨—>刻蚀—>抛光—>清洗—>检测—>包装等步骤。其中拉晶、研磨和抛光是保证半导体硅片质量的关键。涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP抛光设备、清洗设备、检测设备等多种生产设备。

    1、单晶硅生长:年均31亿规模

    单晶硅锭的生长普遍采用CZ直拉法,通过CZ直拉单晶炉实现。单晶硅生长是指把半导体级多晶硅块熔炼成单晶硅锭。单晶硅锭的制备主要有两种工艺,CZ直拉法及区熔法,当前85%以上的单晶硅是采用CZ法生长出来的。1)CZ直拉法。多块半导体级硅被放置于石英坩埚中(非晶),并加入少量的掺杂材料以便最终可获得n型/p型硅;使用电阻/RF加热熔化坩埚中的材料,获得熔体;一块完美的籽晶于熔体表面边旋转边缓慢拉起,随着籽晶的拉出,界面向下朝着熔体方向凝固,与籽晶具有相同晶向的单晶就逐步形成了。2)区熔法。将掺杂好的多晶硅棒和籽晶固定于生长炉中的两端,用RF线圈加热籽晶与硅棒的接触区域,并沿着晶棒轴向移动,经过局部加热-熔化-重新凝固的过程实现单晶硅的制备。由于不使用坩埚,区熔法生长的硅纯度更高,但典型区熔法制备的硅锭直径相比直拉法小,只适用于150mm及以下的硅片生产,应用于功率半导体等领域。

    国内8英寸单晶炉逐步国产化,12英寸实现小批量供应。进口单晶炉厂商主要包括美国林顿晶体技术公司、日本菲洛泰克株式会社、德国普发拓普股份公司;国内单晶炉在8英寸领域已逐步实现国产化,12英寸领域实现小批量供应,代表企业包括晶盛机电(300316.SZ)、南京晶能、北方华创(002371.SZ)、京运通(601908.SH)、西安理工晶体等。晶盛机电(300316.SZ)承担的“02专项——300mm硅单晶直拉生长设备的开发”、“8英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收,8寸直拉和区熔单晶炉均已实现产业化,客户覆盖有研半导体、环欧半导体、金瑞泓等企业;12英寸半导体级单晶炉已量产。南京晶能则率先实现12英寸直拉单晶炉的国产化,,已进入新昇半导体大硅片产线。

    (2)整型:年均12亿规模

    硅锭生长完成后、切片工作进行前需要进行整型工作,所需设备主要包括滚磨机、截断机。硅锭在拉晶炉中生长完成后,要经过系列处理以达到切片前的所需状态,包括去掉两端、径向研磨以及定位边/定位槽制作。1)去掉两端。截断籽晶端及非籽晶端。2)径向研磨。由于在晶体生长过程中硅锭直径及圆度的控制难以达到十分精确,因此硅锭都需长得稍大些再通过径向研磨来产生精确的材料直径。3)制作定位边/定位槽。定位边/定位槽用来标记硅片的晶向以及导电性能,200mm以上的硅片以定位槽为主。

    目前,国内滚磨机的制造厂商主要有晶盛机电(300316.SZ)、京仪世纪等;国外厂商主要有日本东京精机工作室。

    (3)切片:年均6亿规模

    200mm以下硅锭多用内圆切割机完成切片,300mm采用线切割。切片是指将硅锭切割成一定厚度的硅片,目前主要采用内圆切割及线切割两种方式进行。当前对于200mm及以下尺寸的硅片,主要采用带有金刚石切割边缘的内圆切割机来切片;对于300mm的硅片,采用线切割机来切片,线切割通过一组钢丝带动碳化硅研磨料进行研磨加工切片。内圆切割属于一类传统的硅片加工方法,它的局限在于材料利用率只有40%~50%,同时由于结构的限制,也无法加工直径大于200mm的硅片;与内圆切割相比,线切割具有切割效率高、刀损小、成本低、切片表面质量好、可加工硅碇直径大、每次加工硅片数多等诸多优点。

    由于切片机对精度控制和稳定性有很高的要求,国内外技术差别较大,目前多数依赖进口。内圆切割机方面,国外厂商主要为日本东京精密,多线切割机方面,国外厂商主要有日本小松株式会社(NTC)、瑞士SlicingTech公司;国内中电科45所在内圆切割机及多线切割机方面均有所布局。

    (4)磨片及倒角:年均12亿规模

    磨片和倒角使切割后的硅片提高平整度、降低边缘缺陷,相关设备包括磨片机及倒角机。切片完成后,要进行双面的机械磨片以去除切片时留下的损伤,达到硅片两面高度的平行和平坦,即磨片。在硅片制备过程的许多步骤中,平整度是关键的参数。通过硅片边缘抛光修整使硅片边缘获得平滑的半径周线,即倒角。硅片边缘的裂痕和小缝隙会在后续的加工中产生应力及位错,平滑的边缘半径会将这些影响降到最低。磨片及倒角所使用的设备分别为研磨机/磨片机及倒角机。
倒角机以国外厂商为主,研磨机/单面磨片机国内厂商已有布局。倒角机方面,国外品牌主要为日本东京精密以及日本SPEEDFAM,国内暂无大批量生产厂商。研磨机方面,国外厂商主要包括日本SPEEDFAM、日本浜井(HAMAI)、德国莱玛特•奥尔特斯、美国PRHOFFMAN、英国科密特(kemet)等,国内主要厂商有晶盛机电(300316.SZ)、宇晶股份(002943.SZ)及赫瑞特等。双面磨片机方面,国外主要厂商为日本光洋(Koyo)等,国内暂无规模化生产厂商。单面磨片机方面,国外主要厂商主要包括日本迪斯科(Disco)、日本光洋(Koyo)、日本冈本机械(Okamoto)以及美国Revasum等,国内厂商主要为中电科电子装备有限公司。SEMICONChina2018展会上,晶盛机电(300316.SZ)成功推出了6-12英寸半导体级的单晶硅滚圆机、单晶硅截断机、双面研磨机、全自动硅片抛光机等新产品。

    (5)刻蚀:年均12亿规模

    为消除硅片表面的损伤及沾污,需利用硅片刻蚀机选择性去除硅片表面的物质,属于湿法刻蚀工艺。经过一系列处理的硅片表面和边缘存在着损伤及沾污。为消除硅片表面的损伤和沾污,需采用湿法化学刻蚀工艺选择性去除硅片表面的物质,通常要腐蚀掉硅片表面约20μm的硅,以保证所有损伤都被去掉。进行硅片刻蚀工艺的设备称为硅片刻蚀机。

    硅片刻蚀机制造厂商较多,已实现部分国产替代。国外厂商主要包括日本创新(JAC)、美国MEI及韩国GlobalZeus;国内厂商包括中电科45所、江苏华林科纳及苏州晶淼等。

    (6)抛光:年均19亿规模

    硅片制备的最后一步需要进行CMP抛光以获得平坦光滑的表面,200mm及以下采取单面抛光,300mm采取双面抛光,使用设备为CMP抛光机。硅片制备的最后一步是化学机械抛光(CMP),目的是得到高平整度的光滑表面。对于200mm及以下的硅片,采用传统的CMP方式,仅对上表面进行抛光,另一侧仍需保留刻蚀后相对粗糙的表面以便于器件传送;对于300mm的硅片,需采用CMP进行双面抛光,抛光后的硅片表面平坦、双面平行,两面都会像镜子一样。进行化学机械抛光的设备称为CMP抛光机,也广泛应用在后续的晶圆制造环节。

    CMP抛光机仍以国外为主,国内厂商正积极布局。国外厂商主要有日本SPEEDFAM、日本不二越机械公司(FUJIKOSHI)、美国PRHOFFMAN以及德国莱玛特•奥尔特斯,国内厂商如中电科45所、晶盛机电(300316.SZ)及赫瑞特等。晶盛机电(300316.SZ)2018年成功研发出6-8英寸全自动硅片抛光机,有望继续拓展12英寸抛光设备。

    (7)清洗:年均12亿规模

    为达到超洁净状态需要对硅片进行清洗,目前广泛使用的工艺为湿法清洗,使用湿法清洗设备进行。在将硅片发送给晶圆制造厂商之前,需要进行清洗以到达超洁净状态。硅片清洗的目标是去除所有表面沾污:颗粒、有机物、金属和自然氧化层。目前占统治地位的清洗方法是湿法化学,采用湿法清洗机进行。

    硅片清洗机国产化正在进行中,国内已涌现一批优质企业。国外厂商主要包括日本创新(JAC)、美国Akrion、美国MEI以及韩国GlobalZeus,国内厂商如北方华创(002371.SZ)、中电科45所等。

    (8)检测:年均19亿规模

    在包装硅片之前,需要检测是否已达到客户要求的质量标准,如物理尺寸、平整度、为粗糙度、氧含量、晶体缺陷、颗粒以及体电阻率等,所涉及的检测装备包括厚度仪、颗粒检测仪、透射电镜、硅片分选仪等。此处硅片检测将在下文中与“工艺检测”、“晶圆中测”及“终测”合并介绍。

    2、晶圆制造设备:2020年831亿元市场规模

    晶圆制造设备从类别上讲可以分为刻蚀、光刻、薄膜沉积、检测、涂胶显影等十多类,其合计投资总额通常占整个晶圆厂投资总额的75%左右,其中刻蚀设备、光刻设备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备。按全球晶圆制造设备销售金额占比类推,目前刻蚀设备、光刻机和薄膜沉积设备分别占晶圆制造设备价值量约24%、23%和18%。

集成电路各类设备销售额占比

数据来源:公开资料整理

集成电路各类设备销售额占比

数据来源:公开资料整理

    随着集成电路芯片制造工艺的进步,线宽不断缩小、芯片结构3D化,晶圆制造向7纳米、5纳米以及更先进的工艺发展。由于普遍使用的浸没式光刻机受到波长限制,14纳米及以下的逻辑器件微观结构的加工将通过等离子体刻蚀和薄膜沉积的工艺组合——多重模板效应来实现,使得相关设备的加工步骤增多。未来,刻蚀设备和薄膜沉积设备有望正成为更关键且投资占比最高的设备。

    晶圆制造过程主要包括扩散、光刻、刻蚀、离子注入、薄膜生长、化学机械抛光、金属化七个相互独立的工艺流程,这些工艺流程都会有相对应的晶圆制造设备来完成芯片制造流程。典型的集成电路制造需要花费6-8周时间,涵盖350道或者更多的步骤来完成所有的制造工艺,虽然过程复杂,但所有步骤只是多次运用了有限的几种工艺,如薄膜沉积、光刻、刻蚀、注入、抛光等。

    晶圆制造设备主要包括光刻机、刻蚀机、薄膜设备、扩散/离子注入设备、清洗设备、CMP抛光设备、过程检测七大类。通常晶圆制造厂可以分成6个独立的厂区:扩散、光刻、刻蚀、薄膜、离子注入以及抛光。扩散区,一般认为是进行高温工艺及薄膜沉积的区域,主要设备是高温扩散炉和湿法清洗设备;光刻区,主要设备是光刻机以及涂胶/显影设备等用来配合完成光刻流程的一系列工具组合;刻蚀区,常见设备包括等离子刻蚀机(部分仍采用湿法刻蚀机)、等离子去胶机和湿法清洗设备;离子注入区,主要设备是亚μm工艺中最常见的掺杂工具离子注入机;薄膜区,主要负责生产各个步骤或在那个介质层与金属层的沉积,所采用的温度低于扩散区中设备的工作温度,核心设备包括CVD以及PVD,可能用到的其他设备还包括SOG系统、RTP以及湿法清洗设备;抛光区,主要设备为CMP抛光机,辅助设备包括刷片机、清洗装置以及测量工具。

    以下对于工艺及设备的介绍并非完全按照芯片制造工艺的先后顺序进行,对于制造流程中的重复工艺不再介绍。

    (1)氧化:卧式炉/立式炉/RTP等热处理设备59亿元市场规模

    芯片制造的第一步工艺,是在扩散区将硅片进行高温氧化,在表面生长一层二氧化硅薄膜。氧化在芯片制造工艺的发展过程中扮演了重要角色,实际上,硅片上氧化物的生长主要有两种方式:1)热生长,发生在扩散区,是在升温环境中,通过外部供给高纯氧使之与硅衬底反应,在硅片表面得到一层热生长的氧化层;2)沉积,发生在薄膜区,是通过外部供给的氧气及硅源在腔体中反应,在硅片表面上沉积一层薄膜。

    200mm及以上的热处理步骤中,卧室炉已基本被立式炉及快速热处理系统(RTP)替代。热处理设备主要有三种:卧室炉、立式炉以及快速热处理系统(RTP)。除这里涉及的氧化工艺外,这三种热处理设备还可应用于掺杂、退火等用途(后两者将在掺杂工艺中详细介绍)。卧式炉是产业发展早期广泛应用的热处理设备,目前大部分已被立式炉及RTP取代。卧式和立式炉是较为传统的热处理设备,工作中硅片和炉壁被同时加热,硅片升温/降温速率小于20°C/分,单批硅片处理数量在100~200片。RTP是种小型的快速加热系统,工作中只对硅片进行加热,升温速率可达每秒几十度甚至上百度,通常一次处理一片硅片。RTP在芯片制造中最常见的用途是离子注入后的退火,目前已扩展到氧化金属、硅化物的形成以及快速热CVD和外延生国内150mm以下扩散设备基本自给自足;300mm以上立式炉仍主要依赖进口,仅有北方华创(002371.SZ)可批量供应;RTP以进口为主。在尺寸小于150mm的IC制造领域,我国的扩散设备基本能实现自给自足,国内知名的设备厂商有北方华创(002371.SZ)、中电科48所等。在300mm的IC制造领域,立式炉仍主要依赖进口,国外厂商有东京电子(TEL)、日立国际(HKE)等,国内只有北方华创(002371.SZ)能够批量供应。北方华创(002371.SZ)的氧化炉目前已供应给中芯国际(0981.HK)、华力微电子、长江存储等厂商使用。在RTP设备方面,目前IC生产线上普遍采用美国的应用材料、AxcelisTechnology、MattsonTechnology和ASM的设备(约占90%的市场份额),国内发展相对滞后。

    (2)光刻:光刻机249亿元市场规模

    在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序,在整个硅片加工成本中占到1/3。光刻的本质是把掩膜版上临时的电路结构复制到以后要进行刻蚀和离子注入的硅片上。光刻工艺的原理:利用光刻机光源发出的光通过具有图形的掩膜版,对涂有光刻胶的硅片上未被掩膜版遮盖的区域进行曝光,被照射部分的光刻胶性质发生改变,可溶解(或不溶解)于显影液,通过显影后去除可溶解部分,则掩膜版的图形被复制于硅片上。光刻工艺可划分为八个基本步骤:气相成底膜、旋转涂胶、软烘、对准和曝光、曝光后烘焙(或有)、显影、坚膜烘焙以及显影检查。转移到硅片表面的图形与光刻目的相关,可以是半导体器件、隔离槽、接触孔、连接金属层的通孔以及金属互联线,这些图形转移到硅片上,为后续的刻蚀或离子注入做准备。

    光刻工序需要用到两种工艺设备,即匀胶显影设备和光刻机。先进的半导体工艺通常将匀胶显影设备与光刻机直接对接,协同工作,通过光刻胶涂覆、光刻、显影过程中严格的工艺时间控制,确保光刻后转印在衬底上的集成电路图形达到质量要求。

    光刻机是集成电路生产线中最昂贵、最复杂的核心设备。目前常用的光刻机主要有两种,分步重复光刻机及步进扫描光刻机,后者更为多见。光刻机的发展历经过五代:1)接触式光刻机:最早的光刻机,即掩膜贴在硅片上进行光刻,容易产生污染、降低掩膜版使用寿命。2)接近式光刻机:对接触式光刻机进行改良,掩膜与硅片不再直接接触,但受气垫影响,成像的精度不高。3)扫描式光刻机:利用基于反射的光学系统将掩膜版图形1:1地投影到硅片表面,掩膜版与晶圆同步相对窄光束移动,通过两者的扫描运动实现逐步曝光,最终将掩膜版上的图形全部复制到晶圆上;局限是“1倍”掩膜版需要与芯片有相同的特征尺寸,制造难度很大。4)分步重复光刻机:基于折射光学系统(降低掩膜版制造难度),单次只曝光硅片上的一块区域,然后步进到硅片上的另一位置重复曝光。5)步进扫描光刻机:结合了扫描式光刻机与分步重复光刻机的技术,单场曝光采用动态扫描方式,即掩膜版与晶圆相对窄光束同步完成扫描运动;完成当前曝光后,晶圆由工作台承载步进至下一步扫描场位置,继续进行重复曝光;重复步进并扫描曝光多次,直至整个晶圆所有场曝光完毕。目前,接触式光刻机与接近式光刻机应用很少。对于接触式光刻机,由于其简单、经济性,且可实现亚微米级特征尺寸图形的曝光,因为仍应用于小批量产品制造和实验室研究;对于接近式光刻机,仍然可用于特征尺寸在3μm以上的集成电路中。分布重复光刻机与步进扫描光刻机较为常用,后者为当前主流光刻机。分布重复光刻机主要应用于0.25μm以上工艺(当前IC制造的非关键工艺),以及先进封装领域及其他新应用领域。IC工艺进入0.25μm后,步进扫描光刻机由于其在扫描场尺寸及曝光均匀性上的优势,在0.25μm以下的深紫外光刻中占据主导地位。通过配置不同波长的光源(如i线、KrF、ArF、EUV),步进扫描光刻机可支撑半导体前道工艺所有的技术节点。ArF光源光刻机是目前使用范围最广、最具有代表性的一代光刻机。减小紫外光源波长,是提高光刻技术分辨能力的重要手段,随着光源波长的降低,光刻机有望获得更高的成像分辨率。ArF干法曝光最大可支撑65nm的成像分辨率。对于45nm以下及更高的成像分辨率的要求,ArF干法曝光已无法满足,故引入了浸没式光刻方法。浸没式光刻通过将投影物镜下表面及硅片上表面间充满液体(通常为1.44折射率的超纯水)以提升成像体统的有效数值孔径,使光刻机的分辨能力得到延伸。在此基础上,结合多重图形和计算光刻技术,ArFi光刻机得以在22nm及以下工艺节点应用,并可支撑7nm节点工艺,在EUV光刻机量产前得到了广泛的应用。

    EUV光刻机被普遍认为是7nm以下工艺节点最佳选择,需求持续攀升。相对于ArFi光刻机,EUV光刻机的单次曝光分辨率大幅提升,可有效避免因多次光刻、刻蚀方能获得高分辨率的复杂工艺,从工艺技术和制造成本综合因素考量,EUV设备被普遍认为是7nm以下工艺节点的最佳选择。同时,5nm及以下工艺必须依靠EUV光刻机才能实现。随着半导体制造工艺向7nm以下持续延伸,EUV光刻机的需求将进一步增加。

    除上述有掩膜光刻机外,还有一类光刻机在工作中无需使用掩膜版,即无掩膜光刻机,又称直写光刻机。无掩膜光刻机可柔性制作集成电路,但生产效率低,一般只适用于器件原型的研制验证、掩膜版以及小批量特定芯片的制作,在光刻机中所占比例较低。

    匀胶显影设备是指光刻工艺过程中与光刻机配套使用的匀胶、显影及烘烤设备。在早期的集成电路工艺和较低端的半导体工艺中,匀胶显影设备往往单独使用;随着自动化程度提高,在200mm及以上的大型生产线上,匀胶显影设备一般都与光刻机联机作业完成精细的光刻工艺流程。匀胶显影设备主要由匀胶、显影、烘烤三大系统组成,通过机械手使硅片在各系统之间传递和处理,完成光刻胶涂覆、固化、光刻、显影以及坚膜等工艺流程。

    光刻机厂商集中度高,ASML地位不可撼动;国内技术水平差距巨大,SMEE目前可量产90nm工艺节点光刻机。全球最大的光刻机厂商为荷兰的ASML,市占率超过80%,在EUV领域处于完全垄断的地位。除ASML以外,日本佳能(CANON)、尼康(NIKON)也是国外知名的光刻机生产商。ASML可以覆盖所有档次光刻机产品,尼康、佳能的产品分别仅停留在了28nm和90nm的节点上。国内集成电路产业起步较晚,在光刻机制造领域与国际差距巨大。近年来上海微电子装备(集团)股份有限公司(SMEE)通过积极研发,已实现90nm节点光刻机的量产,并正在研究适用于65nm节点的设备。SMEE主要有两个系列的产品:1)600系列步进扫描光刻机,可满足IC前道制造90nm、110nm、280nm关键层和非关键层的光刻工艺需求,用于8寸线或12寸线的大规模工业生产;2)500系列步进光刻机,可满足IC后道先进封装的光刻工艺,如晶圆级封装(Fan-In/Fan-OutWLP)的重新布线(RDL),倒装(FC)工艺中常用的金凸块(GoldBump)、焊料凸块(SolderBump)、铜柱(Copper)等,也可通过选择背面对准满足MEMS和2.5D/3D封装的TSV光刻工艺需求。

光刻机市场由ASML主导(2019年)

光刻机类型
ASML
 
NIKON
 
CANON
 
 
销量
占比
销量
占比
销量
占比
EUV
26
100%
-
-
-
-
A
rFi
82
88%
11
12%
-
ArF
22
63%
13
37%
-
-
KrF
65
71%
4
4%
22
24%
i-Line
34
30%
18
16%
62
54%
合计
229
64%
46
13%
84
23%

数据来源:公开资料整理

    匀胶显影设备方面,日本东京电子占据高端市场主要份额;国内芯源微(688037.SH)逐渐具备了国产替代能力。匀胶显影设备的国外厂商主要有日本的东京电子(TEL)、DNS,以及德国的苏斯等,其中TEL在高端产品领域占据主要的市场份额。芯源微(688037.SH)在国内的高端封装、LED制造等领域占有主要的市场份额,在前道高端设备方面也取得了突破性进展,产品技术参数与性能已达国际先进水平,逐步具备了进口替代的能力。

    (3)刻蚀:刻蚀机100亿元市场规模

    刻蚀是用化学或者物理方法,有选择地从硅片表面去除不需要材料的过程,通常在显影检查后进行,目的是在涂胶的硅片上正确复制掩膜图形。光刻胶层在刻蚀工艺中不受显著侵蚀,被光刻胶覆盖的部分因受到保护而未被刻蚀,没有覆盖的部分将被刻蚀掉。刻蚀可以看做在硅片上复制所需图形最后的转移工艺步骤。

    在半导体制造中有两种基本的刻蚀工艺,干法刻蚀和湿法刻蚀,其中干法刻蚀是亚微米尺寸下刻蚀器件最主要的方法。干法刻蚀也称等离子体刻蚀,是指使用气态的化学刻蚀剂与硅片上未被光刻胶覆盖的材料发生物理或化学反应(或两者均有),以去除暴露的表面材料的过程。通常,反应生成物具有可挥发性,可被抽离出反应腔。湿法刻蚀,是指采用液体化学试剂(酸、碱和溶剂等)以化学方式去除硅片表面材料的过程。早期的刻蚀工艺多采用湿法刻蚀,但因其在线宽控制和刻蚀方向性等多方面的局限,3μm之后的工艺大多采用干法刻蚀,湿法刻蚀仅用来腐蚀硅片上的某些层或残留物的清洗(湿法刻蚀在下文清洗设备部分阐述)。干法刻蚀系统中,刻蚀作用是通过化学作用或物理作用,或者共同作用来实现的,其中物理和化学混合作用能使刻蚀获得好的线宽控制和较好的选择比,因而在大多数干法刻蚀工艺中被采用。

    根据被刻蚀材料的种类,刻蚀设备可分为硅刻蚀设备、金属刻蚀设备和介质刻蚀设备三大类。以等离子体产生和控制技术进行区分,电容耦合等离子体刻蚀设备(CCP)和电感耦合等离子体刻蚀设备(ICP)是各类等离子体刻蚀设备中应用最广泛的两类设备。硅刻蚀用于去除硅的场合,如刻蚀多晶硅栅及硅槽电容;金属刻蚀主要是在金属层上去除合金复合层,制作出互联线;介质刻蚀用于介质材料的刻蚀,如制作接触孔或通孔结构时SiO2的刻蚀。传统的硅刻蚀及金属刻蚀偏向于使用离子能量较低的设备,如ICP刻蚀设备;介质刻蚀偏向于使用离子能量较高的设备,如电容耦合等离子体刻蚀设备CCP刻蚀设备。刻蚀设备种类很多,除上述CCP与ICP刻蚀设备外,还有离子束刻蚀设备(IBE)、等离子刻蚀设备(PE)、反应离子刻蚀设备(RIE)、原子层刻蚀设备(ALE)、电子回旋共振等离子体刻蚀设备(ECR)、螺旋波等离子体刻蚀设备(HWP)以及表面波等离子体刻蚀设备(SWP)等。

    刻蚀设备也是集成电路制造工艺中最复杂、难度最大且使用比例最高的设备之一。随着芯片集成度不断提高,生产工艺越发复杂,刻蚀在整个生产流程中所占的比重也呈现上升趋势。

    (4)沉积:PVD100亿元市场规模,CVD166亿元市场规模

    在本节第一部分已经提过,集成电路制造工艺中氧化膜的生成主要有氧化及沉积两种方式,其中沉积是各类薄膜形成的最主要的方式,包含绝缘薄膜(如SiO2)、半导体薄膜(如多晶硅)或者导电薄膜(如金属),这些薄膜有的作为器件结构中一个完整的部分,另一些则充当了工艺过程中的牺牲层在后续的工艺中被去掉。薄膜沉积设备是一种集合了多种学科最先进技术的设备,也是各种芯片生产设备中比较复杂、难度较大且使用率较高的设备。

    集成电路薄膜沉积工艺可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。PVD是指通过热蒸发或者靶表面受到粒子轰击时发生原子溅射等物理过程,实现上述物质原子转移至硅片表面并形成薄膜的技术,多应用于金属的沉积;CVD是指通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、多晶硅以及金属膜层的沉积;外延是一种在硅片表面按照衬底晶向生长单晶薄膜的工艺。

薄膜沉积主要分为物理工艺、化学工艺以及外延工艺三大类

数据来源:公开资料整理

    磁控溅射是PVD中使用最广泛的设备,离子PVD在制作具有高深宽比的通孔、狭窄沟道的工艺中占据了主导地位。PVD可分为真空蒸镀和溅射两种类型。1)真空蒸镀。普通灯丝蒸镀工艺简单、容易操作,但难以满足蒸发某些难容金属和氧化物材料,于是发展了电子束蒸镀;电子束加热蒸镀可以获得极高的能量密度,可蒸镀W、Mo、Ge、SiO2、Al2O3等材料。

    蒸镀最大的缺点是不能产生均匀的台阶覆盖,目前主流IC工艺已不再用此类设备进行薄膜沉积,有时仍然被应用于芯片封装过程。随着产业向超大规模和极大规模集成电路发展,溅射技术迅速取代了蒸镀技术。2)溅射。传统直流物理气相沉积(DCPVD)的靶材只能是导体;射频物理气相沉积(RFPVD)能够解决绝缘靶材溅射的问题,但沉积效率低;磁控溅射(MagnetronPVD)因可以实现极佳的沉积效率、大尺寸范围的沉积厚度控制、精确的成分控制以及较低的启辉电压等优势,成为了应用最广泛的传统溅射系统。对于高性能IC,传统的溅射技术存在一个普遍问题,当特征尺寸缩小时,溅射进入具有高深宽比的通孔和狭窄沟道的能力受到限制,为克服这个问题,离子化物理气相沉积(IonizedPVD)被引入。离子PVD是磁控溅射的一种新技术,在制作具有高深宽比的孔隙、沟槽的集成电路工艺领域中,已占据了主导地位。

    常用CVD设备包括APCVD、LPCVD、PECVD、HDPCVD以及FCVD等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。常压化学气相沉积(APCVD)是最早的CVD设备,结构简单、沉积速率高,至今仍广泛应用于工业生产中。低压化学气相沉积(LPCVD)是在APCAD的基础上发展起来的,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比APCVD的应用更为广泛。在IC制造技术从亚微米发展到90nm的过程中,等离子体增强化学气相沉积设备(PECVD)扮演了重要的角色,由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,密度得以加强。到90nm技术时代,为改善PECVD薄膜的致密性、沟槽填充能力以及生长速率,引入了高密度等离子体增强化学气相沉积(HDPCVD)设备。随着集成电路技术发展到28nm以下,HDPCVD已无法满足FinFET器件结构对隔离沟槽填充技术的要求,流体化学气相沉积技术(FCVD)应运而生,其可完成对细小沟槽及孔隙的无缝填充,并满足10nm以及7nm技术节点的工艺要求。CVD不仅可以用于绝缘薄膜和半导体材料的沉积,还可用于金属薄膜的沉积,由于CVD具有优良的等角台阶覆盖以及对高深宽比接触和通孔无间隙的填充,在金属薄膜沉积方面的应用正在增加。

    ALD设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,随着器件集成技术的提升,应用愈加广泛。从45nm技术开始,为了减小器件的泄漏电流,新的高k材料和金属栅工艺被应用到集成电路工艺中,由于膜层很薄(通常在数纳米量级内),所以引入了原子层沉积(ALD)。ALD在每个周期中生长的薄膜厚度是一定的,所以可以有非常精确的膜厚控制和非常优越的台阶覆盖率。随着IC集成技术的发展,不断缩小的器件尺寸对薄膜生长的热预算、致密度及台阶覆盖率都有了更高的要求,未来ALD技术在薄膜生长领域会有更多的应用。

    在某些情况下,需要在单晶衬底表面外延生长一薄层单晶材料,这层外延层与衬底具有相同晶体结构,可根据器件要求实现对杂质类型和浓度的控制,为设计者在优化器件性能方面提供了很大的灵活性。外延有时也能辅助达到高性能IC的要求。外延可分为分子束外延(MBE)、气相外延(VPE)、液相外延(LPE)以及固相外延(SPE),其中后三者属于化学外延技术,可归为广义的CVD技术。

    薄膜沉积设备也已开启进口替代,北方华创是国内PVD/CVD设备的领军企业。美国、欧洲和日本在薄膜沉积设备领域处于领先地位,主要厂商包括美国的应用材料(AppliedMaterials)、泛林(LamResearch),荷兰的先进半导体材料(ASM),日本的东京电子(TEL)等。国内在薄膜沉积领域已有长足进步,北方华创(002371.SZ)自主开发的系列PVD设备已经用于28m生产线中,用于14m工艺的PVD设备实现重大进展;沈阳拓荆和北方华创(002371.SZ)的PECVD设备也在芯片及MEMS生产线上得到应用。

    (5)离子注入:离子注入机33亿元市场规模

    实现掺杂的方式包括扩散及离子注入,后者现代IC制造中掺杂的主要工艺。离子注入后需要进行退火处理以修复缺陷并激活杂质。本征硅(晶格完整且不含杂质的硅单晶)的导电性能很差,只有加入少量杂质(主要掺杂ⅢA族和ⅤA族的杂质),使其结构和导电率发生改变时,才能成为一种有用的半导体,这个过程被称为掺杂。在IC制造工艺中,有两种方法可以向硅片引入杂质元素,即热扩散和离子注入。热扩散利用高温驱动杂质穿过硅的晶格结构,离子注入是通过高压离子轰击将杂质引入硅片。相对于扩散,离子注入的主要优点在于能在较低的温度下,准确地控制杂质掺入的浓度和深度,重复性好。早期热扩散是掺杂的主要手段,随着特征尺寸及相应器件的不断缩小,现代IC制造中大多掺杂工艺都是利用离子注入实现的。由于离子注入采用高速轰击的工作方式,会将注入区原子撞出晶格而形成局部损伤区,且被注入离子大多并不占据硅的晶格点,而是停留在晶格间隙位置,因此需要进行退火处理以部分或全部消除因离子注入产生的损伤以及激活被注入的离子。离子注入广泛应用于IC制造,包括MOS栅阈值调整、倒掺杂阱、源漏注入、超浅结、轻掺杂漏区、多晶硅栅、深埋层、穿通阻挡层、沟槽电容器和SIMOX等。

    热处理设备主要包括卧式炉、立式炉以及快速热处理设备(RTP),应用于不同要求的掺杂和退火工艺。对于200mm以下的掺杂和退火,主要使用卧式炉;对于200mm及以上的掺杂,立式炉有部分应用,而市场主要由离子注入设备所主导;对于200mm及以上的退火,主要使用立式炉及快速热处理设备(RTP/RTA)。RTP是一种单片热处理设备,能够快速升/降温,在快速热退火(RTA)中应用最为普遍,同时也开始应用于快速热氧化(RTO)、快速热氮化(RTN)、快速热扩散(RTD)、快速热化学气相沉积(RTCVD)等领域,在先进IC制造领域的应用越来越广泛。

    离子注入机是现代集成电路制造工艺中最主要的掺杂设备,其中大束流离子注入机市占率最高。离子注入机是集成电路装备中较为复杂的设备之一,是现代IC制造工艺中最主要的掺杂设备。离子注入机大体可分为低能大束流离子注入机、中束流离子注入机和高束流离子注入机三类。中束流离子注入机可应用于半导体制造中沟道掺杂、阱掺杂和漏/源掺杂等多种工艺。相比中束流设备,大束流离子注入机具有较高的束流和较低的能量,适用于大剂量浅结注入,如源/漏扩展区注入、源/漏注入、栅极掺杂等工艺,是目前半导体制造领域中市占率最高的离子注入机。高能离子注入机用于注入掩埋杂质层,如倒掺杂阱和三阱,在某些领域中可以替代中束流离子注入机。

扩散及离子注入均可实现掺杂目的

数据来源:公开资料整理

    (6)抛光:CMP抛光机25亿元市场规模

    化学机械抛光(CMP)能获得金属和介质层的局部或全局平坦化,广泛应用于极大规模IC制造中。无应力抛光(SFP)不会产生任何机械应力,尤其适用于低k/超低k介质铜互连结构的平坦化过程。CMP结合了化学作用与机械作用,使硅片表面材料与研磨液发生化学反应的同时,在研磨头的压力作用下进行抛光,最终使硅片表面实现平坦化。CMP设备集成了机械学、流体力学、材料化学、精细化工、控制软件等多领城最先进的技术,是IC制造设备中较为复杂和研制难度较大的设备之一。CMP设备在IC制造中的应用包括浅槽隔离平坦化、多晶硅平坦化、层间截至平坦化、金属间介质平坦化以及铜互连平坦化等。SFP基于电化学原理,在抛光过程中硅片仅与抛光液接触,是一种不会产生任何机械应力的抛光工艺。SFP能够很好地解决低k/超低k介质铜互连结构平坦化过程中因机械应力造成的损伤问题,从而避免互连结构断路或短路。

    CMP设备领域被国际厂商高度垄断,华海清科及中电科45所设备在主流晶圆制造厂中处于试用及验证阶段。目前,美国和日本在CMP设备制造领域处于领先地位,生产厂商主要包括美国的应用材料(AppliedMaterials)和日本的荏原机械(Ebara),两家企业占据全球98%的市场份额,呈现高度垄断的竞争格局。国内CMP设备的主要研发生产单位有天津华海清科和中电科45所,其中华海清科的设备已在中芯国际生产线上试用,中电科45所8英寸设备已进入中芯国际生产线进行工艺验证,12英寸设备也在研发当中。

    (7)清洗机及湿法刻蚀设备等剥离设备:33亿元市场规模

    湿法清洗设备可以去除IC制造过程中所产生的颗粒、自然氧化层、有机物、金属污染、牺牲层以及抛光残留物等杂质。目前湿法清洗的主流设备包括单圆片清洗设备、单圆片刷洗设备以及单圆片刻蚀设备(湿法刻蚀设备)。湿法清洗在硅片表面清洗方法中占统治地位。湿法清洗是指针对不同的工艺需求,采用特定的化学试剂和去离子水,对硅片表面进行无损清洗,去除IC制造过程中颗粒、自然氧化层、有机物、金属污染、牺牲层以及抛光残留物等物质,可配合使用液体快速循环流动、兆声波和氮气辅助喷射等物理方式提升清洗效果。先进的IC制造技术对硅片表面污染物控制的指标要求越来越高,因此在每项工艺前都需要进行清洗。集成电路诞生以来,主要由槽式清洗机和槽式刻蚀机来完成硅片的清洗及薄膜刻蚀工艺,随着集成电路线宽的缩小,对清洗要求越来越严格,上述两种清洗设备已逐渐被单圆片湿法设备所取代。目前,槽式圆片清洗机、槽式清洗刻蚀机在整个清洗流程中分别仅占20%及2%的步骤。根据不同的工艺目的,单圆片湿法设备可以分为三大类:1)单圆片清洗设备,清洗目标物包括颗粒、有机物、自然氧化层、金属杂质等污染物;2)单圆片刷洗设备,主要用于去除圆片表面颗粒;3)单圆片刻蚀设备(湿法刻蚀设备),主要用于去除薄膜。单圆片清洗设备广泛应用于IC制造的前道和后道工艺过程,包括成膜前/后的清洗、等离子体刻蚀后清洗、离子注入后清洗、化学机械抛光后清洗和金属沉积后清洗等,已基本可以兼容所有的清洗工艺(除高温磷酸工艺)。单圆片刻蚀设备一般用于去除硅、氧化硅、氮化硅及金属膜层等薄膜材料。此外,随着IC制造工艺的进步,单槽体圆片清洗机、低温超临界圆片清洗机等多种清洗机也陆续获得一些应用。

晶圆制造过程中可产生的六种主要杂质类型

数据来源:公开资料整理

典型硅片湿法清洗包含多道工序

清洗步骤
目的
H2SO4/H2O2(piranha)
有机物和金属
UPW清洗(超纯水)
清洗
HF/H2O(稀HF)
自然氧化层
UPW清洗
清洗
NH4OH/H2O2/H2O(SC-1)
颗粒
UPW清洗
清洗
HF/H2O
自然氧化层
UPW清洗
清洗
HCl/H2O2/H2O(SC-2)
金属
UPW清洗
清洗
HF/H2O
自然氧化层
UPW清洗
清洗
干燥
干燥

数据来源:公开资料整理

本文采编:CY315
10000 12800
精品报告智研咨询 - 精品报告
2024-2030年中国半导体设备行业运营现状及发展前景预测报告
2024-2030年中国半导体设备行业运营现状及发展前景预测报告

《2024-2030年中国半导体设备行业运营现状及发展前景预测报告》共十四章,包含 半导体设备行业投资价值分析,中国行业标杆企业项目投资建设案例深度解析,2024-2030年中国半导体设备行业发展趋势及预测分析等内容。

如您有其他要求,请联系:

文章转载、引用说明:

智研咨询推崇信息资源共享,欢迎各大媒体和行研机构转载引用。但请遵守如下规则:

1.可全文转载,但不得恶意镜像。转载需注明来源(智研咨询)。

2.转载文章内容时不得进行删减或修改。图表和数据可以引用,但不能去除水印和数据来源。

如有违反以上规则,我们将保留追究法律责任的权力。

版权提示:

智研咨询倡导尊重与保护知识产权,对有明确来源的内容注明出处。如发现本站文章存在版权、稿酬或其它问题,烦请联系我们,我们将及时与您沟通处理。联系方式:gaojian@chyxx.com、010-60343812。

在线咨询
微信客服
微信扫码咨询客服
电话客服

咨询热线

400-700-9383
010-60343812
返回顶部
在线咨询
研究报告
商业计划书
项目可研
定制服务
返回顶部