智研咨询 - 产业信息门户

2019年中国FPGA市场现状分析、FPGA芯片的应用及未来发展前景分析[图]

    1、FPGA的概念

    FPGA即Field-ProgrammableGateArray的缩写,即现场可编程门阵列,它是基于PAL、GAL、CPLD等可编程器件的基础上形成再次发展的成品。它出现的形式是作为专用集成电路(ASIC)领域中的一种半定制电路,这种形式的半定制电路既能够弥补定制电路的不足,又能够改正原来的可编程器件门电路数有限的不足。FPGA是由可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分组成的。FPGA对于小批量系统来说是一项很好的选择,可以提高系统的集成度。

    2、FPGA技术的优势和特点

    1)FPGA技术的性能很好

    根据对FPGA的分析表明,在FPGA的某些应用领域方面,FPGA的每分钟的信号处理能力与数字处理信号DSP相比使其多倍的效率,这是因为FPGA在性能方面打破了传统的顺序执行的模式,利用硬件并行的优势,在运行处理速率方面做了很大的提升,其可以在每个周期内完成更多的处理任务,完全超过了数字处理信号的运算速率。FPGA的性能主要体现在其为了满足不同的应用需求在硬件层面的控制输入和输出方面进行了很大的完善,为应用领域提供了更加专业化、更加快速的运算处理系统。

    2)FPGA技术的设计成本较低

    在自定制ASIC设计的非经常性工程中产生的费用与基于FPGA的硬件解决方案所产生的费用相比前者的设计成本更高,且高出了很多倍。ASIC在设计初期为设备制造商在运输数千种芯片方面投入了巨大的成本费用,但是结果表明更多的用户使用自定义的硬件功能就能解决自身对芯片的需求,FPGA的芯片特性注定在进行设计的时候可以节省更多的成本投资,FPGA芯片的交货组装时间与ASIC相比也较短,因此,FPGA的设计成本不仅较低,而且完成效率也快。

    3)FPGA具有较高的稳定性

    FPGA使用硬件并行的方式进行内部的运行,其处理器的系统包含了很多个抽象层,这能在多个进城之间共享资源、计划任务,利用驱动从而控制硬件资源的使用。处理器的系统常常会面临着限时任务相互占取的风险,且对于任何给定的处理器内核,谈一次只能执行一个指令。但是FPGA不使用这种处理器操作系统,它本身具有独立的确定性和每项任务的执行硬件,不存在多个任务共同执行出现相互占取的潜在风险,在运行的稳定性方面提供了一定的保障。

    4)FPGA能够进行长期维护

    FPGA是可以进行现场升级的,不用重新设计ASIC所涉及的时间和费用的投入,是因为ASIC的接口可能存在兼容方面或者维护方面的问题,而数字通信中就包含了能够随时间进行改变的规范,FPGA就能够根据现场的情况或者改变做出适时的调整和修改。

    3、FPGA芯片的应用

    1)应用到数据采集领域中

    由于自然界中的信号模拟信号居多,因此信号处理系统中都能实现数据的采集功能,对于比较常见的低速A/D和D/A转换器可以采用标准的其它接口或者通信进行处理,但是对于高速的A/D和D/A转换器,一般的信号处理器就起不了作用,只能使用FPGA进行数据采集。

    2)应用到逻辑接口领域

    在传统的芯片设计中,在进行与PC机进行数据通信时,为了保障信号的传输质量需要的接口数量太多,导致芯片的体积、能耗等都比较大,不利于进行高效的传输。

    3)应用到电平接口领域

    在新的技术不断出现的大环境下,在电子产品的应用方面,电平标准也必须不断的做出改变才能迎合电子产品的更新要求,在这种极度混合的电平环境中,传统的电平接口并不能满足电路的需求,导致电路的复杂性越来越高,而FPGA可以支持多种电平的存在,将FPGA应用到电平接口中可以减少很多不必要的麻烦。

    4、全球63亿美元市场,Xilinx与Altera双寡头

    FPGA是集成电路大产业中的小领域,5G和AI为行业增长提供确定性,国产替代叠加行业增长,国产FPGA市场腾飞在即。2018年全球集成电路市场规模达到4,688亿美元,同期全球FPGA市场规模约63亿美元,仅占集成电路市场约1.34%。市场虽小,但未来受益于5G基础设施全球布局及AI技术持续发展,FPGA行业需求量增长具确定性。行业增长下,国产替代进程将进一步加速国产FPGA的增长。2017年国内FPGA市场国产率低于1%,随着技术突破,国产FPGA腾飞在即。

    FPGA在集成电路行业中位置

数据来源:公开资料整理

    全球FPGA市场规模持续攀升,亚太是FPGA主要市场,未来产业发展可期。全球FPGA市场规模2019年达到69亿美元,2025年达到125亿美元,未来市场增速稳中有升。亚太区占比达到42%,是FPGA主要市场,中国FPGA市场规模约100亿人民币,未来随着中国5G部署及AI技术发展,国内FPGA规模有望进一步扩大。

    全球FPGA市场规模

数据来源:公开资料整理

    亚太FPGA市场份额

数据来源:公开资料整理

    国外三巨头占据90%全球市场,国产厂商暂时落后。FPGA市场呈现双寡头垄断格局,Xilinx和Altera分别占据全球市场56%和31%,在中国FPGA市场中,占比也高达52%和28%,由于技术、资金、人才上的壁垒及FPGA量产带来的规模经济,行业领导者地位较为稳定。国产厂商目前在中国市场占比约4%,未来随着国产厂商技术突破,FPGA领域国产替代或将是百亿级的机会,替代空间广阔。

    全球FPGA市场份额按公司分布

数据来源:公开资料整理

    中国FPGA市场份额按公司分布

数据来源:公开资料整理

    5、5G+AI催化行业增长,下游需求欲乘风而起

    通信、消费电子、汽车是FPGA下游存量应用场景,市场规模持续增长。由于相对于ASIC的三方面优势(灵活性、上市时间、成本),FPGA的下游应用场景较为丰富,包括:ASIC原型设计、汽车、收发器、消费电子、数据中心、高性能计算、工业、医疗、测试/测量、有线/无线通信等。其中通信、消费电子和汽车是主要应用场景,2017年三块场景FPGA需求占总需求比例达到79.4%,市场规模持续扩大。

    FPGA市场规模按下游应用场景分

数据来源:公开资料整理

    应用场景会保持分散的格局,ASIC在成本上的规模经济限制了FPGA应用场景的集中度,FPGA不可替代性奠定市场基础。由于ASIC具有明显的规模经济,当单一芯片产品用量极大时(一般为超过10万片),使用ASIC方案将有成本优势,因此FPGA的应用场景被限制在了用量小、技术不稳定、灵活性需求高的领域,一旦技术成熟且需求放量,终端厂商就会考虑ASIC方案替代FPGA方案来降低成本。但由于FPGA在用量小、技术不稳定及灵活性需求高的领域具有不可替代性,FPGA的市场基础稳固,未来量的增长主要看新技术带来的新周期。

    国产FPGA厂商产品下游应用场景更偏重消费电子,未来在通信市场的增长值得期待。2019财年(财年结日为2019年3月30日)其产品终端应用场景及占比为通信(36%)、工业航空及国防(28%)、数据中心及封测医疗仿真(20%)、汽车广播及消费电子(15%)。而国产FPGA厂商由于产品性能相对落后,在高端民用领域暂时不具有竞争力,但在LED显示、工业等领域出货量较大。随着国内厂商技术突破,未来在通信市场份额的提高将开启国产FPGA厂商增长。

    1)全球5G浪潮席卷而来,FPGA量价齐升在即

    FPGA是5G基础设施和终端设备的零部件,5G全球部署持续推进,基站、IoT、终端设备、边缘计算的FPGA用量将显著提升。通信是FPGA下游应用场景中规模最大的分支,FPGA通信市场规模2017年达23.5亿美元,占整体FPGA应用市场比例超40%,2017-2025年CAGR预计8%。全球5G基础设施建设进程下,FPGA作为核心零部件,用量也会随之提升,5G应用中,IoT、终端设备及边缘计算领域的FPGA需求也将增长。未来在通信市场的增长具有确定性。相比较CPU和GPU,通信领域FPGA在I/O、运算速度及延迟上均具有优势。在5G建设初期,FPGA可以被用于基站天线的收发器中,5G时代MassiveMIMO技术让收发通道数从16T16R提高到64T64R甚至128T128R,FPGA可以用于多通道信号波束成形。目前业界在FPGA和ASIC方案的选择上具有差异,京信通信等公司采用更加灵活的FPGA方案。

    64通道毫米波MIMO全DBF收发器简化框图

数据来源:公开资料整理

    以一个64通道毫米波MIMO全DBF收发器为例,如图收发器分为两部分,左半部分为中频&基带子系统,包涵Xilinx的Kintex-7FPGA;右半部分为毫米波收发器射频前端,包涵中频振荡器及电源管理模组等。每一个基带子板(basebanddaughterboard)中都具有一块Xilinx’sKintex-7FPGA,2个双通道12-bitADC,1个4通道16-bitDAC,2个QSFP+光接口用于通用无线接入。

    5G时代,FPGA面临价提量升。

    价提:FPGA主要用在收发器的基带中,5G时代由于通道数的增加,计算复杂度增加,所用FPGA的规模将增加,由于FPGA的定价与片上资源正相关,未来通信领域FPGA单颗成本也将上升,目前基站收发器中的FPGA单价通常在几百元人民币的范围,未来有望进一步提高。收发器的主要成本和功耗由基带部分贡献,未来技术复杂度将再次推升收发器成本,进而传导到基站AAU价格上升。

    量增:5G带来的出货量提高来源于两方面,1.通信基站数量提高带动FPGA零部件用量提高。5G初期基站铺设数量环比提高,另一方面由于5G信号衰减较快,小基站需求量巨大,未来十年有望超1000万座,同比4G时期增长明显。2.单基站FPGA用量提高带动FPGA通信市场用量整体提高。由于5GMassiveMIMO的高并发处理需求,单基站FPGA用量有望从4G时期2-3块提高到5G时期4-5块,将带动FPGA整体用量。

    中国5G宏基站出货进度

数据来源:公开资料整理

    中国5G小基站部署进度

数据来源:公开资料整理

    2)自动驾驶渐成熟,增量空间大门打开

    汽车半导体389亿市场规模,FPGA目前仅占2.4%,自动驾驶发展将提高FPGA价值占比。汽车芯片分为主控芯片和功能芯片(MCU)。主控芯片包括GPU、FPGA、ASIC等,FPGA在汽车多个领域都有应用,尤其在相机和传感器中的应用已经相对成熟。汽车半导体市场规模2017年达到388.6亿美元,其中FPGA为9.5亿美元,占比仅2.44%。FPGA依托其灵活性及并行处理能力,在汽车的摄像头及激光雷达领域应用广泛。自动驾驶技术的发展将提高FPGA在汽车半导体中的价值占比。

    汽车半导体市场份额

数据来源:公开资料整理

    自动驾驶等级定义

数据来源:公开资料整理

    FPGA在未来汽车中主要应用在ADAS/AD系统、马达控制、激光雷达、车载信息娱乐系统和驾驶员信息系统,成长空间可期。以FPGA巨头Xilinx为例,赛灵思在汽车上已经形成了自生成熟的闭环的生态系统,提供从高级驾驶员辅助驾系统(ADAS)、自动驾驶(AD)、激光雷达到车载信息娱乐系统(IVI)和驾驶员信息(DI)的全方面支持。ADAS/AD领域是赛灵思未来中长期的增长点。而汽车级ACAP平台的推出则是实现自动化驾驶L4的基础。未来智能驾驶技术逐渐成熟,FPGA用量提升空间巨大。

    汽车领域芯片应用

数据来源:公开资料整理

    3)数据中心部署FPGA,AI加速卡拉动FPGA需求

    数据中心逻辑芯片是百亿美元市场,低延迟+高吞吐奠定FPGA核心优势。数据中心领域逻辑芯片市场规模2017年达25亿美元,2022年有望达到80-100亿美元。数据中心FPGA主要用在硬件加速,相比GPU,FPGA在数据中心的核心优势在于低延迟及高吞吐。微软Catapult项目在数据中心使用FPGA代替CPU方案后,处理Bing的自定义算法时快出40倍,加速效果显著。数据中心对芯片性能有较高要求,硬件即服务模式下,未来更多数据中心采纳FPGA方案将提高FPGA在数据中心芯片中的价值占比。

    数据中心中逻辑芯片市场规模

数据来源:公开资料整理

    AI应用场景的FPGA市场规模

数据来源:公开资料整理

    AI场景中FPGA市场规模2023年有望达52亿美元,未来五年复合增速达38.4%。FPGA由于其灵活性及高速运算能力,在AI加速卡领域应用广泛,AI领域FPGA市场规模2023年有望达52亿美元,相比于目前63亿美元的FPGA市场,AI领域的应用不可小觑。FPGA在AI领域处理效率及灵活性具有显著优势,未来伴随AI技术发展迎来增长。在加速二值化神经网络(BNN)中比较FPGA、CPU、GPU和ASIC,FPGA提供了超过CPU和GPU的效率。即使CPU和GPU提供高峰理论性能,它们也没有得到有效利用,因为BNN依赖于更适合定制硬件的二进制bit级操作。尽管ASIC仍然更高效,但FPGA具有更高的灵活性,无需锁定固定的ASIC解决方案。

    以CPU上软件为基准的性能-大于1为加速,小于1为减速

数据来源:公开资料整理

    以CPU上软件为基准的性能/功耗

数据来源:公开资料整理

    性能峰值,如:50%则代表50%的性能已经实现

数据来源:公开资料整理

    6、国产替代叠加行业增长,国产厂商将进入增长快车道

    全球FPGA市场在5G和AI两大驱动因子下未来预计享有稳健增长,而目前国内100亿人民币市场中,国产厂商占比仅4%,国产率极低。未来随着国产FPGA厂商技术突破,国产替代进程下,替代厂商增速有望显著高于行业平均,估值和业绩有望双增。我们认为国产替代仍是FPGA行业投资主线,建议关注国产FPGA厂商及其相关公司的投资机会。国内FPGA厂商主要有8家:紫光同创、国微电子、成都华微电子、安路科技、智多晶、高云半导体、上海复旦微电子和京微齐力。目前营收规模均较小,国产FPGA目前还处于起步期,专利数和国外企业有较大差距。产品角度来看,国产FPGA在硬件性能指标上也远落后于Xilinx及Altera。

    近年来国产FPGA虽落后但追赶进度较快,继紫光同创开发出中国唯一一款自处产权千万门级高性能FPGAPGT180H以来,上海复旦微电子于2018年5月在第二届中国高校科技成果交易会上发布了新一代自主知识产权亿门级FPGA产品,新产品,填补了国内超大规模亿门级FPGA的空白。未来随着更多企业技术突破,国产替代进程将持续推进。

    国内FPGA主要玩家

数据来源:公开资料整理

    相关报告:智研咨询发布的《2020-2026年中国FPGA产业运营现状及投资规划分析报告》 

本文采编:CY331
10000 12800
精品报告智研咨询 - 精品报告
2024-2030年中国FPGA行业市场现状分析及发展前景展望报告
2024-2030年中国FPGA行业市场现状分析及发展前景展望报告

《2024-2030年中国FPGA行业市场现状分析及发展前景展望报告》共十二章,包含FPGA行业发展及竞争策略分析,FPGA行业发展前景及投资建议,FPGA产业投资前景展望等内容。

如您有其他要求,请联系:

文章转载、引用说明:

智研咨询推崇信息资源共享,欢迎各大媒体和行研机构转载引用。但请遵守如下规则:

1.可全文转载,但不得恶意镜像。转载需注明来源(智研咨询)。

2.转载文章内容时不得进行删减或修改。图表和数据可以引用,但不能去除水印和数据来源。

如有违反以上规则,我们将保留追究法律责任的权力。

版权提示:

智研咨询倡导尊重与保护知识产权,对有明确来源的内容注明出处。如发现本站文章存在版权、稿酬或其它问题,烦请联系我们,我们将及时与您沟通处理。联系方式:gaojian@chyxx.com、010-60343812。

在线咨询
微信客服
微信扫码咨询客服
电话客服

咨询热线

400-700-9383
010-60343812
返回顶部
在线咨询
研究报告
商业计划书
项目可研
定制服务
返回顶部